专利摘要:
The invention relates to a plasma processing reactor apparatus for semiconductor processing a substrate. The apparatus includes a chamber. The apparatus further includes a top electrode configured to be coupled to a first RF power source having a first RF frequency and a bottom electrode configured to be coupled to second RF power source having a second RF frequency that is lower than the first RF frequency. The apparatus additionally includes an insulating shroud that lines an interior of the chamber, the insulating shroud being configured to be electrically floating during the processing. The apparatus further includes a perforated plasma confinement ring disposed outside of an outer periphery of the bottom electrode, a top surface of the perforated plasma confinement ring being disposed below a top surface of the substrate and electrically grounded during the processing.
公开号:US20010000104A1
申请号:US09/728,733
申请日:2000-11-30
公开日:2001-04-05
发明作者:Lumin Li;George Mueller
申请人:Lumin Li;George Mueller;
IPC主号:H01J37-32623
专利说明:
[1] 1. This application is a continuation of U.S. patent application Ser. No. 09/222,588, filed Dec. 28, 1998, which is hereby incorporated by reference. BACKGROUND OF THE INVENTION
[2] 2. The present invention relates to the fabrication of semiconductor integrated circuits (IC's). More particularly, the present invention relates to an improved apparatus and method for etching through a silicon-dioxide containing material, during IC fabrication to create etched features (e.g., trenches, contacts or vias) on the substrate surface.
[3] 3. During the manufacture of a semiconductor-based product, for example, a flat panel display or an integrated circuit, multiple deposition and/or etching steps may be employed. During the deposition step, materials are deposited onto a substrate surface (such as the surface of a glass panel or a wafer). Conversely, etching may be employed to selectively remove materials from predefined areas on the substrate surface. Etching in this manner can create etched features in the dielectric layers of a substrate surface wherein the etched features are filled with metal to form a conductive path.
[4] 4. During etching, a mask formed of a suitable mask material, such as photoresist, is typically employed to define the areas to be etched in the underlying layer. In an exemplary photoresist technique, the photoresist material is first deposited on the underlying layer to be etched. The photoresist material is then patterned by exposing the photoresist material in a suitable lithography system, and by developing the photoresist material to form a mask to facilitate subsequent etching. Using an appropriate etchant, areas of the underlying layer that are unprotected by the mask may then be etched away using an appropriate etchant source gas, thereby forming etched features such as trenches, contacts or vias in the underlying layer.
[5] 5. To facilitate discussion, FIG. 1 depicts an exemplary substrate stack 10, including a mask 12, an underlying layer 14 and a substrate 16. As mentioned, mask 12 may represent photoresist mask or it may be formed of any suitable mask material, including hard mask materials. Underlying layer 14 represents the layer or layers to be etched. For ease of discussion, the underlying layer represents herein a dielectric layer (e.g., a doped or undoped silicon dioxide-containing layer) although, depending on the specific application, the underlying layer may be formed of any etchable material including, for example, organic material. Substrate 16 includes the layers and features that underlie the layer to be etched and may include the semiconductor wafer or the glass panel itself. For the purposes of the invention herein, the composition substrate 16 is somewhat irrelevant.
[6] 6. Within mask 12, there is shown an opening 18, which is created during the mask patterning process. Through opening 18, plasma generated etchants react with the material of underlying layer 14 to etch features (e.g., trenches, contacts or vias) in the underlying layer. During this etching process, the etchants tend to anisotropically etch underlying layer 14 through opening 18 in mask 12, forming an etched feature 20 (e.g., trenches, contacts or vias) having a diameter 22 (or width) and a depth 24. The diameter (or width) is the feature size. The aspect ratio is the ratio of depth over the feature size.
[7] 7. To achieve greater circuit density, modern integrated circuits are scaled with increasingly narrower design rules. As a result, the need for high aspect ratios for features (e.g., trenches, contacts or vias) and substantially straight profiles between devices on the integrated circuit has steadily increased. By way of example, it is not uncomnmon to employ design rules as small as 0.18 microns or even smaller in the fabrication of some high density integrated circuits. As adjacent devices are packed more closely together, the need for high aspect ratios and straight profiles are increased. The higher aspect ratios allow the feature size (diameter or width) to be smaller thereby allowing closer packing. Additionally, straight profiles ensure that subsequently deposited metal material can properly fill the etched feature, e.g., without suffering voids due to pinch-offs, or the like.
[8] 8. In view of the foregoing, these are desired: improved methods and apparatuses for etching features with high aspect ratios and more vertically etched profiles. SUMMARY OF THE INVENTION
[9] 9. The invention relates, in one embodiment, to a plasma processing reactor apparatus for semiconductor processing a substrate. The apparatus includes a chamber. The apparatus further includes a top electrode configured to be coupled to a first RF power source having a first RF frequency and a bottom electrode configured to be coupled to second RF power source having a second RF frequency that is lower than the first RF frequency. The apparatus additionally includes an insulating shroud that lines an interior of the chamber, the insulating shroud being configured to be electrically floating during the processing. The apparatus further includes a perforated plasma confinement ring disposed outside of an outer periphery of the bottom electrode, a top surface of the perforated plasma confinement ring being disposed below a top surface of the substrate and electrically grounded during the processing.
[10] 10. The invention relates, in another embodiment, to a perforated plasma confinement ring device configured for grounding electrons inside a plasma processing reactor during processing. The apparatus includes a conductive ring having an inner and outer diameter, the inner diameter being dimensioned to surround an electrode in the plasma processing reactor, the conductive ring being electrically grounded during the processing. The apparatus further includes a plurality of perforations in the conductive ring, the plurality of perforations being dimensioned to permit by-product gases from the processing to pass through while substantially confining a plasma.
[11] 11. The invention relates, in yet another embodiment, to a method of etching a substrate in semiconductor processing. The method includes providing a plasma processing reactor that includes a process chamber, a top electrode coupled to a first RF power source, a bottom electrode coupled to a second RF power source, a perforated plasma confinement ring coupled to ground, an insulating shroud, a process gas and a substrate. The method further includes filling the process chamber with the process gas and energizing the process gas with the top electrode such that a plasma is formed inside the process chamber. The method additionally includes grounding the plasma with the perforated plasma confinement ring and removing by-product gases from the processing through a plurality of perforations in the perforated plasma confinement ring.
[12] 12. These and other features of the present invention will be described in more detail below in the detailed description of the invention and in conjunction with the following figures. BRIEF DESCRIPTION OF THE DRAWINGS
[13] 13. The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
[14] 14.FIG. 1 illustrates a cross sectional view of a substrate layer, representing the layers involved in the etching of a typical semiconductor IC that includes a silicon dioxide layer.
[15] 15.FIG. 2 is a schematic diagram of a prior art plasma processing reactor.
[16] 16.FIG. 3 is a schematic diagram of a plasma processing reactor with the enhancements of the present invention.
[17] 17.FIG. 4 shows a top view of a plasma processing reactor with the enhancements of the present invention.
[18] 18.FIGS. 5a-5 c illustrate, in accordance with embodiments of the present invention, various grid designs for the perforated plasma confinement ring. DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
[19] 19. The present invention will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be obvious, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps have not been described in detail in order not to unnecessarily obscure the present invention.
[20] 20. In accordance with one aspect of the present invention, higher aspect ratios and substantially straight profiles for etched features in the silicon dioxide layer are achieved by introducing a perforated plasma confinement ring to a plasma processing reactor. The term “etched features” herein encompasses e.g., trenches, contacts, vias or the like. The etching takes place while the substrate is disposed on a chuck within the plasma processing chamber. A process gas, which is input into a plasma processing chamber, is energized with RF power supplied to an electrode wherein the RF energy dissociates the gas thereby creating a plasma. Power is then supplied to another electrode and the ions are accelerated towards the substrate. The accelerated ions and the plasma generated etchants etch the substrate. In general, when the plasma density is high, the ion energy tends to be low. By introducing a perforated plasma confinement ring coupled to ground into the plasma processing chamber, electrons from the plasma are substantially removed and the plasma density is reduced, thereby increasing ion energy. The increase in ion energy tends to produce a better etch.
[21] 21. In another embodiment, the perforated plasma confinement ring is dimensioned to permit by-product gases, during processing, to pass through while substantially confining a plasma within a volume defined by the processing chamber. By confining the plasma inside the process chamber, a more uniform etch can be achieved, wherein the center and the edge of the substrate have substantially the same etch rates.
[22] 22. In another embodiment, the perforated plasma confinement ring is placed at a location inside the processing chamber where it can exhaust by-product gas efficiently without causing contamination of the substrate. Particle contamination is created by the disturbance of flow of the by-product gas and therefore placement is critical for reducing particulate contamination.
[23] 23. In accordance with one embodiment of the present invention, there is provided an insulating shroud within the plasma processing chamber. The insulating shroud lines the interior of the chamber. The insulating shroud is configured to be electrically floating, which prevents the plasma from grounding through the chamber walls. The insulating shroud also has the purpose of confining the plasma to a specific volume inside the chamber.
[24] 24. To further discuss the features of the inventions and their advantages over the prior art, FIG. 2 illustrates a prior art plasma processing reactor. FIG. 2 depicts a parallel plate reactor 100, with a chamber wall 102. The chamber wall 102 has the option of being coupled to ground or floating. A top electrode 104 is coupled to a first RF power source 106 having a first RF frequency. Top electrode 104 includes a multiplicity of gas distribution apertures 105. However, these gas distribution apertures may alternatively or additionally be disposed at other locations e.g., the chamber side walls. Gas distribution apertures 105 allow the passage of process gas into the process chamber. Top electrode 104 is surrounded by a top shroud 108. However, top shroud 108 is optional and may be omitted. A bottom electrode 110 is coupled to a second RF power source 112 having a second RF frequency. Bottom electrode 110 represents an electrostatic chuck that secures substrate 114. A focus ring 116 surrounds bottom electrode 110 and is made of a ceramic material such as aluminum oxide. Focus ring 116 tends to focus the plasma towards the substrate particularly at the periphery of the substrate to improve etch uniformity. A silicon ring 118 surrounds the edge of substrate 114. The silicon ring prevents contamination of substrate 114 from the aluminum oxide or ceramic materials of focus ring 116.
[25] 25. A teflon shroud 120 lines the interior of chamber wall 102. A confinement ring 122 comprising concentric rings 124 and spaces 126 surrounds the process chamber inside teflon shroud 120. Confinement ring 122 allows gas to escape and be exhausted out of port 128. Confinement ring 122 is floating and made out of an insulating material.
[26] 26. A plasma 130 is created during processing and confined in the chamber by top electrode 104, substrate 114, focus ring 116, silicon ring 118, and confinement ring 122. A distance 132 can be varied by moving the top electrode 104 up and down. The top electrode moves up to permit substrate 114 to be disposed on bottom electrode 110 and down in order to process it. Distance 132 is held at a predefined distance during processing. A height adjustment is achieved by utilizing a screw linear actuator (not shown), which moves the entire top of the chamber up or down.
[27] 27. In accordance with one aspect of the present invention, the plasma density is reduced, in order to increase ion energy, by the addition and specific placement of a perforated plasma confinement ring. To facilitate discussion of this aspect of the present invention, FIG. 3 depicts a parallel plate reactor 200, with a chamber wall 202. Chamber wall 202 has the option of being coupled to ground or electrically floating. A top electrode 204 is coupled to a first RF power source 206 having a first RF frequency of about 27 MHz. Top electrode 204 may include a multiplicity of gas distribution apertures 205. However, these gas distribution apertures may alternatively or additionally be disposed at other locations e.g., the chamber side walls. Gas distribution apertures 205 allow the passage of process gas into the process chamber. Top electrode 204 maybe surrounded by a top shroud 208. However, top shroud 208 is optional and may be omitted. A bottom electrode 210 is coupled to a second RF power source 212 having a second RF frequency of about 2 MHz. The second RF frequency should be lower than the first RF frequency.
[28] 28. The bottom electrode represents, in this case, an electrostatic chuck that secures the substrate 214. However, bottom electrode 210 can represent any suitable work piece holder e.g., a mechanical-type chuck, a vacuum chuck, and the like. A focus ring 216, which is optional, is disposed around the outer periphery of bottom electrode (electrostatic chuck) 210 and is made of a ceramic material such as aluminum oxide. Focus ring 216 tends to focus the plasma towards the substrate particularly at the periphery of the substrate to improve etch uniformity. A silicon ring 218, which is optional, surrounds the edge of substrate 214. As mentioned, the silicon ring prevents contamination of substrate 214 from the aluminum oxide or ceramic materials of focus ring 216.
[29] 29. An insulating shroud 220 is electrically floating and formed from a material that is substantially resistant to etching by a plasma present within the chamber during the etching of substrate 214. Insulating shroud 220 lines the interior of the chamber. A perforated plasma confinement ring 222 is electrically grounded and formed from a conductor that is, also substantially resistant to etching by a plasma within the chamber during the etching of substrate 214. Perforated plasma confinement ring 222 has perforations 224 through it to allow by-product gas to escape and to be exhausted out of port 226. Perforated plasma confinement ring 222 is preferably disposed outside of the outer periphery of bottom electrode 210 and abutted to focus ring 216, if the focus ring is present. Also, perforated plasma confinement ring 222 is disposed below the top surface of substrate 214 to reduce the amount of contamination that would occur if it were above substrate 214. It is believed that the placement of the perforated plasma confinement ring in this manner also contributes to etch uniformity.
[30] 30. A plasma 228 is created during processing and confined in a volume defined by top electrode 204, substrate 214, insulating shroud 220, perforated plasma confinement ring 222, focus ring 216 and silicon ring 218, if the focus ring and the silicon ring are present. Preferably, plasma 228 is substantially isolated from chamber wall 202. A distance 230 between the top and bottom electrodes is adjustable before, during and after processing. Top electrode 204 moves up to permit a substrate 214 to be disposed on bottom electrode 210 and down in order to process it. Distance 230 is held at a predefined distance during processing. The height adjustment is achieved by utilizing a screw linear actuator (not shown) which moves the entire top of the chamber up or down. The first RF power source is coupled to a high pass filter (not shown) which allows the second RF power of the bottom electrode to be grounded while blocking the RF power of the top electrode. The second RF power source is coupled to a low pass filter (not shown) which allows the RF power of the top electrode to be grounded while blocking the RF of the bottom electrode.
[31] 31.FIG. 4 illustrates, in accordance with one embodiment of the present invention, the top view of plasma processing reactor 200 in more detail. Substrate 214 is secured in the reactor 200 by an electrostatic chuck (not shown). Focus ring 216 surrounds the electrostatic chuck. Silicon ring 218 is shown disposed between substrate 214 and focus ring 216. Insulating shroud 220, which projects out of the page, lines the interior of reactor 200. Perforated plasma confinement ring 222 outer periphery is shown abutted to the insulating shroud 220. However, it is possible for the outer periphery of perforated plasma confinement ring 222 to extend beyond the inner periphery of insulating shroud 220, in which case insulating shroud 220 sits on perforated plasma confinement ring 222 during etching. Perforated plasma confinement ring 222 inner periphery is abutted to the outer periphery of focus ring 216, if present. Perforated plasma confinement ring 222 includes perforations 224. It should be noted that the abutted surfaces in the above description may include a gap, between the perforated plasma confinement ring and its adjacent structures, that is sufficiently narrow to still keep the plasma substantially confined.
[32] 32. To elaborate further, the introduction of a grounded perforated plasma confinement ring allows the electrons to flow from the plasma to ground. Grounding the perforated plasma confinement ring removes electrons from the chamber and therefore reduces the density of the plasma. A reduction in plasma density tends to increase the energy of the ions in the plasma. While not wishing to be bound by theory, it is believed that the increase in ion energy allows for a greater impact on the substrate surface when the ions are accelerated and bombard the substrate. This mechanism is believed to produce higher aspect ratios for small feature sizes while substantially maintaining straight profiles. The invention preferably uses SiC for the perforated plasma confinement ring. However, it should be stated that the perforated plasma confinement ring may be formed from any conductor that is substantially resistant to etching by a plasma present within the chamber during processing and/or to contribute substantially no metal contamination. In one embodiment, the perforated plasma confinement ring has a thickness between about ¼ and 2 inches. The thickness is dependent on the material used and other manufacturing concerns.
[33] 33. By confining the plasma inside the volume defined approximately by the top electrode, the insulating shroud, the bottom electrode and the perforated plasma confinement ring, a more uniform etch can be achieved, wherein the center and the edge of the substrate have substantially the same etch rate. Perforations inside the perforated plasma confinement ring are preferably dimensioned to allow the by-product gas to pass through without placing undue demand on the pumping arrangement. At the same time, the perforations must be dimensioned to confine the plasma to the aforementioned volume. This reduces the possibilities of unconfinement which can cause contamination and RF mismatch while maximizing etch rate and etch uniformity.
[34] 34. Preferably the perforated plasma confinement ring should have the number of perforations effective to allow the by-product gas to be exhausted and the plasma to be substantially confined. The perforations are not limited to any particular shape and can be patterned to be circular, slotted, concentric and the like. Three shapes are shown in FIG. 5a-c in accordance with preferred embodiments of the present invention. The present invention employs, in one embodiment, about 2000 circularly shaped perforations in the perforated plasma confinement ring. FIG. 5a shows a perforated plasma confinement ring 500 with circularly shaped perforations 502. The circularly shaped perforation size can vary, but a preferable range was found to be between about {fraction (1/16)} and about ⅛ of an inch in diameter and preferably about {fraction (3/32)} inches in diameter. In another embodiment, the perforated plasma confinement ring employs about 200 slotted perforations. FIG. 5b shows a perforated plasma confinement ring 500 with slotted perforations 504. The width of the slotted perforations can vary, but a preferable range was found to be between about ⅛ and about {fraction (1/32)} of an inch and preferably about {fraction (1/16)} inches. In yet another embodiment, the perforated plasma confinement ring employs about 10 concentric ring perforations. FIG. 5c shows a perforated plasma confinement ring 500 with concentric ring perforations 506. The gap between the concentric ring perforations may be between about {fraction (1/32)} and about ⅛ of an inch.
[35] 35. The percentage of the open area in the perforated plasma confinement ring is a critical parameter. By way of example, a 20% open area yields unacceptable etch results while a 50% open area improves the etch profile. In a preferred embodiment, the percentage of the open area in the perforated plasma confinement ring is above about 20%. In a preferred embodiment, the percentage of the open area in the perforated plasma confinement ring is preferably at about 50%. In general, it is discovered that the percentage of the open area should be tailored to individual chamber designs within the guidelines stated, i.e., a balance must be maintained between having a sufficient percentage of the open area to permit the turbomolecular pump to effectively remove the exhaust byproduct gases and maintain the desired chamber pressure while maintaining the structural integrity of the perforated plasma confinement ring and more importantly, substantially confining the plasma to the upstream side of the perforated plasma confinement ring (as seen along the byproduct gas exhaust path).
[36] 36. Preferably, the perforated plasma confinement ring should substantially fill the gap made between the inside periphery of the insulating shroud and the outer periphery of the electrostatic chuck to allow substantially all the exhaust gases to pass through the perforated plasma confinement ring. The outer diameter of the perforated plasma confinement ring should be sized to fit within the inner diameter of the insulating shroud, so long as it is abutted to the insulating shroud or has a gap, between the perforated plasma confinement ring and the insulating shroud, that is sufficiently narrow to still keep the plasma substantially confined. The outer periphery of the electrostatic chuck may include the focus ring, but the focus ring is not required at all times. If the focus ring and/or the silicon ring is omitted, the inner periphery of the perforated plasma confinement ring is preferably dimensioned to fit around the bottom electrode or has a gap, between the perforated plasma confinement ring and the bottom electrode, that is sufficiently narrow to still keep the plasma substantially confined. The contact surface between the perforated plasma confinement ring and the bottom electrode should be electrically insulated as is well known in the art.
[37] 37. In one aspect of the invention, the perforated plasma confinement ring is placed at a location inside the processing chamber where it can exhaust by-product gas efficiently without causing contamination of the substrate. Structures that are placed above the substrate during processing tend to cause contamination of the substrate. This is because such structures may present sites or surfaces for adsorbed materials to attach. Over time, the adsorbed materials may flake off onto the substrate, causing particulate contamination. Therefore, the placement of the perforated plasma confinement ring is crucial for reducing part contamination. Preferably, the perforated plasma confinement ring should be placed downstream from the substrate. The preferred arrangement is for the perforated plasma confinement ring to be disposed about level with or more preferably below the top surface of the substrate a distance between about 0 to 4 inches.
[38] 38. In accordance with one embodiment of the present invention, the insulating shroud is configured to be electrically floating which prevents the plasma from grounding through the chamber walls. The insulating shroud also has the purpose of confining the plasma to a specific volume inside the chamber and can be dimensioned according to the volume needed. As mentioned earlier, in the discussion of the perforated plasma confinement ring, when the volume of the plasma is controlled and confined, the etching tends to be more uniform. The insulating shroud is preferably formed from a material that is substantially resistant to etching by the plasma present within the chamber. Note that the material can be either a conductor or insulator since it is electrically floating. The insulating shroud should be formed of a material that is able to withstand the plasma environment without unduly contaminating the substrate. In one embodiment, quartz is employed but other materials such as SiC, graphite or Vespel ® by Dupont Chemical Corporation of Delaware may also be employed.
[39] 39. Also, the insulating shroud is easily removable from the processing chamber. Removing the insulating shroud enables the plasma reactor to have less downtime because a clean shroud can be placed in the chamber while the dirty one is cleaned. The inner diameter of the insulating shroud can be larger or smaller than the outer diameter of the perforated plasma confinement ring, as long the gap (if any) between the insulating shroud and the perforated plasma confinement ring can keep the plasma contained.
[40] 40. In another embodiment the frequency of the electrodes in the parallel plate reactor are set at frequencies found to work advantageously with the inventive perforated plasma confinement ring and/or gap distance to etch the silicon-dioxide layer. The frequency of the power used in plasma processing is an important feature of process design. In the present invention, the top electrode frequency is about 27 MHz and the bottom electrode frequency, which is lower than the top electrode frequency, is about 2 MHz.
[41] 41. Preferably the distance between the top and bottom electrodes is adjustable between about 0.5 and 2 inches during etching of the silicon-dioxide containing layer. As discussed blow, it is found that the combination of the two frequencies of about 27 MHz and 2 MHz, the gap between about 0.5 and 2 inches, and the use of the perforated plasma confinement ring in the manner disclosed enables the silicon-dioxide containing layer to be etched with surprising advantageous results.
[42] 42. In one specific application of this invention, a 200 mm substrate having thereon a dielectric layer, e.g., a silicon-dioxide containing layer (e.g., TEOS, BPSG, thermal oxide or the like) is etched in the aforementioned plasma processing reactor system. However, this invention is not limited to a particular sized substrate. The mask material represents a photoresist layer and the etchant includes a fluorocarbon-containing gas (e.g., a fluorocarbon gas such as C4F8).
[43] 43. The top RF power source level is about 1000 watts. The bottom power level source is about 2000 watts. However, both RF power source levels may be optimized to achieve a suitable overall dielectric etch rate, photoresist selectivity, and to maintain the desired etch profile in a given system and/or for a given substrate stack.
[44] 44. The first electrode which is coupled to the first RF power source operates at a temperature of about 40 degrees Celsius and the second electrode which is coupled to the second RF power source operates at a temperature of about 20 degrees Celsius. The bottom electrode is He cooled. The gap between the first and second electrode is about 2 cm.
[45] 45. The pressure within the aforementioned plasma processing reactor system is maintained at about 30 mT during etching. Argon is flowed into the processing chamber at about 150 standard cubic centimeters per minute (sccm), Nitrogen is flowed at about 20 sccm, C4F8 is flowed at about 12 sccm, and Oxygen is flowed at about 4 sccm.
[46] 46. It is observed that the present invention gives the ability to etch smaller features with high aspect ratios. The present invention is capable of etching feature sizes of 0.25 microns or smaller while increasing the aspect ratios from about 4:1 to about 8:1 over the prior art without significant adverse impact on the selectivity and/or the etch rate. Also, uniform etching from the center to the edge of the substrate is achieved by confining the plasma and controlling its volume. Another distinct advantage of the new invention is that it tends to reduce particle contamination and contributes to improved etch uniformity by placing the perforated plasma confinement ring down stream of the substrate.
[47] 47. While this invention has been described in terms of several preferred embodiments, there are alterations, permutations, and equivalents which fall within the scope of this invention. By way of example, although an advantageous etch recipe has been disclosed for etching through the silicon-dioxide containing layer, the inventive plasma processing chamber can be configured to etch any layer, using conventionally known and appropriate etchants and suitable parameters (which can be empirically determined). It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, permutations, and equivalents as fall within the true spirit and scope of the present invention.
权利要求:
Claims (19)
[1" id="US-20010000104-A1-CLM-00001] 1. A method of processing a substrate with a plasma, comprising:
generating the plasma;
confining the plasma within a volume defined at least by an electrically insulated or electrically floating chamber wall and an electrically conductive perforated confinement ring that is electrically grounded; and
removing electrons from the plasma through the confinement ring to ground so as to increase ion energy during processing.
[2" id="US-20010000104-A1-CLM-00002] 2. The method as recited in
claim 1 wherein the perforated confinement ring is disposed between the chamber wall and an electrode during processing.
[3" id="US-20010000104-A1-CLM-00003] 3. The method as recited in
claim 1 wherein the perforated confinement ring surrounds the outer periphery of the electrode during processing.
[4" id="US-20010000104-A1-CLM-00004] 4. The method as recited in
claim 1 wherein the perforated confinement ring is positioned relative to the substrate so as to prevent contamination of the substrate during processing.
[5" id="US-20010000104-A1-CLM-00005] 5. The method as recited in
claim 1 wherein the perforated confinement ring is disposed in its entirety at or below a top surface of the substrate during processing.
[6" id="US-20010000104-A1-CLM-00006] 6. The method as recited in
claim 1 wherein the chamber wall and the perforated confinement ring are formed from a material that is substantially resistant to etching by the confined plasma.
[7" id="US-20010000104-A1-CLM-00007] 7. The method as recited in
claim 6 wherein the perforated confinement ring is formed from SiC.
[8" id="US-20010000104-A1-CLM-00008] 8. The method as recited in
claim 1 wherein the electrically insulated chamber wall or the electrically floating chamber wall prevents the plasma from grounding therethrough.
[9" id="US-20010000104-A1-CLM-00009] 9. The method as recited in
claim 1 wherein the chamber wall is an insulating shroud that lines a portion of a process chamber.
[10" id="US-20010000104-A1-CLM-00010] 10. The method as recited in claim wherein the insulating shroud is removable from the process chamber.
[11" id="US-20010000104-A1-CLM-00011] 11. The method as recited in
claim 1 further comprising:
providing a plasma reactor that includes a process chamber, a bottom electrode coupled to a first RF power source and a top electrode coupled to a second RF power source.
[12" id="US-20010000104-A1-CLM-00012] 12. The method as recited in
claim 11 wherein the plasma is generated by flowing a process gas into the process chamber, and powering the electrodes via their respective power sources.
[13" id="US-20010000104-A1-CLM-00013] 13. The method as recited in
claim 12 wherein the frequency of the bottom electrode is lower than the frequency of the top electrode.
[14" id="US-20010000104-A1-CLM-00014] 14. The method as recited in
claim 13 wherein the frequency of the top electrode is 27 MHz and wherein the frequency of the bottom electrode is 2 MHz.
[15" id="US-20010000104-A1-CLM-00015] 15. The method as recited in
claim 12 wherein the process gas includes a fluorocarbon containing gas.
[16" id="US-20010000104-A1-CLM-00016] 16. The method as recited in
claim 15 wherein the fluorocarbon gas is C4F8.
[17" id="US-20010000104-A1-CLM-00017] 17. The method as recited in
claim 15 wherein the process gas further includes Argon, Nitrogen and Oxygen.
[18" id="US-20010000104-A1-CLM-00018] 18. The method as recited in
claim 1 wherein the substrate includes a dielectric layer that is etched by the plasma.
[19" id="US-20010000104-A1-CLM-00019] 19. The method as recited in
claim 18 wherein the dielectric layer is TEOS, BPSG or thermal oxide.
类似技术:
公开号 | 公开日 | 专利标题
US6506685B2|2003-01-14|Perforated plasma confinement ring in plasma reactors
KR100274306B1|2000-12-15|Etching process
US5880036A|1999-03-09|Method for enhancing oxide to nitride selectivity through the use of independent heat control
US6974523B2|2005-12-13|Hollow anode plasma reactor and method
JP4794449B2|2011-10-19|RF pulsing technology for narrow gap capacitively coupled reactors
US7138067B2|2006-11-21|Methods and apparatus for tuning a set of plasma processing steps
US5593539A|1997-01-14|Plasma source for etching
KR101291347B1|2013-07-30|Apparatus for the removal of a fluorinated polymer from a substrate and methods therefor
TWI249205B|2006-02-11|Method for improving uniformity and reducing etch rate variation of etching polysilicon
JP2004356430A|2004-12-16|Plasma treatment apparatus
US20070128871A1|2007-06-07|Etching apparatus and etching method
US20050269294A1|2005-12-08|Etching method
WO2000031787A1|2000-06-02|Dry etching device and dry etching method
JPH1074600A|1998-03-17|Plasma processing equipment
US7578945B2|2009-08-25|Method and apparatus for tuning a set of plasma processing steps
JPH10199869A|1998-07-31|Dry-etching method
EP2148361A1|2010-01-27|Dry etching apparatus and dry etching method
KR100842947B1|2008-07-01|Plasma processing method and plasma processor
KR100262883B1|2000-09-01|Plasma cleaning method
JPH0758087A|1995-03-03|Plasma processing apparatus
JPH0590217A|1993-04-09|Dry etching method
WO2002009198A9|2003-02-06|Etching apparatus having a confinement and guide object for gas flow of plasma and method for using same
JPH0637046A|1994-02-10|Plasma etching apparatus
JPH06252129A|1994-09-09|Manufacture of semiconductor device and plasma vapor phase chemical growth device
JPH11354494A|1999-12-24|Etching method
同族专利:
公开号 | 公开日
US6506685B2|2003-01-14|
EP1149403B1|2016-06-29|
JP5517797B2|2014-06-11|
TW443078B|2001-06-23|
JP2010267981A|2010-11-25|
JP2002533949A|2002-10-08|
WO2000039837A8|2001-08-30|
US6178919B1|2001-01-30|
JP5013632B2|2012-08-29|
JP2013175770A|2013-09-05|
KR100760243B1|2007-09-19|
JP5766230B2|2015-08-19|
KR20010089689A|2001-10-08|
EP1149403A1|2001-10-31|
WO2000039837A1|2000-07-06|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题
WO2003088313A2|2002-04-09|2003-10-23|Unaxis Usa, Inc.|Improved method for etching vias|
US20060118045A1|2004-12-08|2006-06-08|Fink Steven T|Method and apparatus for improved baffle plate|
US20060151114A1|2005-01-11|2006-07-13|Fink Steven T|Plasma processing system and baffle assembly for use in plasma processing system|
US20060225654A1|2005-03-29|2006-10-12|Fink Steven T|Disposable plasma reactor materials and methods|
US20080135177A1|2006-12-08|2008-06-12|Tes Co., Ltd.|Plasma processing apparatus|
US20080277064A1|2006-12-08|2008-11-13|Tes Co., Ltd.|Plasma processing apparatus|
US20090028761A1|2007-07-27|2009-01-29|Devine Daniel J|Advanced multi-workpiece processing chamber|
US20090250443A1|2008-04-03|2009-10-08|Tes Co., Ltd.|Plasma processing apparatus|
US20100003824A1|2008-07-07|2010-01-07|Lam Research Corporation|Clamped showerhead electrode assembly|
US20100000683A1|2008-07-07|2010-01-07|Lam Research Corporation|Showerhead electrode|
US20100068104A1|2006-05-04|2010-03-18|Seock Joon Kim|Flat-Type Non-Thermal Plasma Reactor|
US20100252197A1|2009-04-07|2010-10-07|Lam Reseach Corporation|Showerhead electrode with centering feature|
US20110070740A1|2009-09-18|2011-03-24|Lam Research Corporation|Clamped monolithic showerhead electrode|
US20110083809A1|2009-10-13|2011-04-14|Lam Research Corporation|Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly|
US20110132874A1|2009-12-03|2011-06-09|Richard Gottscho|Small plasma chamber systems and methods|
US20110212624A1|2010-02-26|2011-09-01|Hudson Eric A|System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas|
US8221582B2|2008-07-07|2012-07-17|Lam Research Corporation|Clamped monolithic showerhead electrode|
US8272346B2|2009-04-10|2012-09-25|Lam Research Corporation|Gasket with positioning feature for clamped monolithic showerhead electrode|
WO2013074701A1|2011-11-16|2013-05-23|Lam Research Corporation|System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing|
US8573152B2|2010-09-03|2013-11-05|Lam Research Corporation|Showerhead electrode|
CN103811263A|2014-02-25|2014-05-21|清华大学|Plasma confinement device and plasma processing device provided with plasma confinement device|
US20140220244A1|2013-02-07|2014-08-07|Uchicago Argonne Llc|Ald reactor for coating porous substrates|
US8872525B2|2011-11-21|2014-10-28|Lam Research Corporation|System, method and apparatus for detecting DC bias in a plasma processing chamber|
US8898889B2|2011-11-22|2014-12-02|Lam Research Corporation|Chuck assembly for plasma processing|
US8999104B2|2010-08-06|2015-04-07|Lam Research Corporation|Systems, methods and apparatus for separate plasma source control|
US9083182B2|2011-11-21|2015-07-14|Lam Research Corporation|Bypass capacitors for high voltage bias power in the mid frequency RF range|
US9155181B2|2010-08-06|2015-10-06|Lam Research Corporation|Distributed multi-zone plasma source systems, methods and apparatus|
US9263240B2|2011-11-22|2016-02-16|Lam Research Corporation|Dual zone temperature control of upper electrodes|
US9396908B2|2011-11-22|2016-07-19|Lam Research Corporation|Systems and methods for controlling a plasma edge region|
US9449793B2|2010-08-06|2016-09-20|Lam Research Corporation|Systems, methods and apparatus for choked flow element extraction|
US9508530B2|2011-11-21|2016-11-29|Lam Research Corporation|Plasma processing chamber with flexible symmetric RF return strap|
US9967965B2|2010-08-06|2018-05-08|Lam Research Corporation|Distributed, concentric multi-zone plasma source systems, methods and apparatus|
US10283325B2|2012-10-10|2019-05-07|Lam Research Corporation|Distributed multi-zone plasma source systems, methods and apparatus|
TWI670785B|2016-12-16|2019-09-01|大陸商中微半導體設備(上海)股份有限公司|Plasma processor and etching uniformity adjusting system and method|
US10586686B2|2011-11-22|2020-03-10|Law Research Corporation|Peripheral RF feed and symmetric RF return for symmetric RF delivery|
US10950449B2|2018-01-12|2021-03-16|Asm Ip Holding B.V.|Substrate processing apparatus|
US11111578B1|2020-02-13|2021-09-07|Uchicago Argonne, Llc|Atomic layer deposition of fluoride thin films|US4464223B1|1983-10-03|1991-04-09|Tegal Corp||
US4632719A|1985-09-18|1986-12-30|Varian Associates, Inc.|Semiconductor etching apparatus with magnetic array and vertical shield|
JPH029115A|1988-06-28|1990-01-12|Mitsubishi Electric Corp|Semiconductor manufacturing equipment|
US6036877A|1991-06-27|2000-03-14|Applied Materials, Inc.|Plasma reactor with heated source of a polymer-hardening precursor material|
US5312778A|1989-10-03|1994-05-17|Applied Materials, Inc.|Method for plasma processing using magnetically enhanced plasma chemical vapor deposition|
JP3061346B2|1994-03-07|2000-07-10|東京エレクトロン株式会社|Processing equipment|
TW299559B|1994-04-20|1997-03-01|Tokyo Electron Co Ltd||
US5900103A|1994-04-20|1999-05-04|Tokyo Electron Limited|Plasma treatment method and apparatus|
US5510042A|1994-07-08|1996-04-23|The Procter & Gamble Company|Fabric softening bar compositions containing fabric softener, nonionic phase mofifier and water|
JP3521161B2|1994-07-09|2004-04-19|日本たばこ産業株式会社|DNA encoding phosphoenolpyruvate carboxykinase, recombinant vector containing the same, and transformed plant|
US5891350A|1994-12-15|1999-04-06|Applied Materials, Inc.|Adjusting DC bias voltage in plasma chambers|
US5605637A|1994-12-15|1997-02-25|Applied Materials Inc.|Adjustable dc bias control in a plasma reactor|
JP3192370B2|1995-06-08|2001-07-23|東京エレクトロン株式会社|Plasma processing equipment|
US5534751A|1995-07-10|1996-07-09|Lam Research Corporation|Plasma etching apparatus utilizing plasma confinement|
JPH09129611A|1995-10-26|1997-05-16|Tokyo Electron Ltd|Etching|
US5820723A|1996-06-05|1998-10-13|Lam Research Corporation|Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support|
WO1998001895A1|1996-07-08|1998-01-15|Hitachi, Ltd.|Method of production of semiconductor integrated circuit device|
EP0821395A3|1996-07-19|1998-03-25|Tokyo Electron Limited|Plasma processing apparatus|
JP3468446B2|1997-05-20|2003-11-17|東京エレクトロン株式会社|Plasma processing equipment|
US6051100A|1997-10-24|2000-04-18|International Business Machines Corporation|High conductance plasma containment structure|
US6019060A|1998-06-24|2000-02-01|Lam Research Corporation|Cam-based arrangement for positioning confinement rings in a plasma processing chamber|
US5998932A|1998-06-26|1999-12-07|Lam Research Corporation|Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber|JP4217299B2|1998-03-06|2009-01-28|東京エレクトロン株式会社|Processing equipment|
US6184489B1|1998-04-13|2001-02-06|Nec Corporation|Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles|
US6444087B2|1999-01-20|2002-09-03|Hitachi, Ltd.|Plasma etching system|
US6358324B1|1999-04-27|2002-03-19|Tokyo Electron Limited|Microwave plasma processing apparatus having a vacuum pump located under a susceptor|
US20050061445A1|1999-05-06|2005-03-24|Tokyo Electron Limited|Plasma processing apparatus|
KR20010062209A|1999-12-10|2001-07-07|히가시 데쓰로|Processing apparatus with a chamber having therein a high-etching resistant sprayed film|
JP3379506B2|2000-02-23|2003-02-24|松下電器産業株式会社|Plasma processing method and apparatus|
US6364958B1|2000-05-24|2002-04-02|Applied Materials, Inc.|Plasma assisted semiconductor substrate processing chamber having a plurality of ground path bridges|
US6433484B1|2000-08-11|2002-08-13|Lam Research Corporation|Wafer area pressure control|
AUPR008700A0|2000-09-13|2000-10-05|Brigatti, John Murray|Biocidal composition|
US6872281B1|2000-09-28|2005-03-29|Lam Research Corporation|Chamber configuration for confining a plasma|
US6492774B1|2000-10-04|2002-12-10|Lam Research Corporation|Wafer area pressure control for plasma confinement|
JP4602532B2|2000-11-10|2010-12-22|東京エレクトロン株式会社|Plasma processing equipment|
JP4676074B2|2001-02-15|2011-04-27|東京エレクトロン株式会社|Focus ring and plasma processing apparatus|
US6602381B1|2001-04-30|2003-08-05|Lam Research Corporation|Plasma confinement by use of preferred RF return path|
KR100884414B1|2001-05-16|2009-02-19|램 리서치 코포레이션|Hollow anode plasma reactor and method|
US6974523B2|2001-05-16|2005-12-13|Lam Research Corporation|Hollow anode plasma reactor and method|
US6527911B1|2001-06-29|2003-03-04|Lam Research Corporation|Configurable plasma volume etch chamber|
KR100422446B1|2001-07-12|2004-03-12|삼성전자주식회사|Exhaust ring of dry etch device|
US20030024900A1|2001-07-24|2003-02-06|Tokyo Electron Limited|Variable aspect ratio plasma source|
US6984288B2|2001-08-08|2006-01-10|Lam Research Corporation|Plasma processor in plasma confinement region within a vacuum chamber|
JP2003100713A|2001-09-26|2003-04-04|Kawasaki Microelectronics Kk|Cover for plasma electrode|
US6887340B2|2001-11-13|2005-05-03|Lam Research Corporation|Etch rate uniformity|
US6744212B2|2002-02-14|2004-06-01|Lam Research Corporation|Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions|
AU2003228226A1|2002-03-12|2003-09-29|Tokyo Electron Limited|An improved substrate holder for plasma processing|
JP4082924B2|2002-04-16|2008-04-30|キヤノンアネルバ株式会社|Electrostatic chuck holder and substrate processing apparatus|
US7175737B2|2002-04-16|2007-02-13|Canon Anelva Corporation|Electrostatic chucking stage and substrate processing apparatus|
US6936135B2|2002-04-17|2005-08-30|Lam Research Corporation|Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber|
US6926803B2|2002-04-17|2005-08-09|Lam Research Corporation|Confinement ring support assembly|
JP2004119448A|2002-09-24|2004-04-15|Nec Kyushu Ltd|Apparatus and method for plasma etching|
US6798519B2|2002-09-30|2004-09-28|Tokyo Electron Limited|Method and apparatus for an improved optical window deposition shield in a plasma processing system|
US7204912B2|2002-09-30|2007-04-17|Tokyo Electron Limited|Method and apparatus for an improved bellows shield in a plasma processing system|
US6837966B2|2002-09-30|2005-01-04|Tokyo Electron Limeted|Method and apparatus for an improved baffle plate in a plasma processing system|
US7166166B2|2002-09-30|2007-01-23|Tokyo Electron Limited|Method and apparatus for an improved baffle plate in a plasma processing system|
US7137353B2|2002-09-30|2006-11-21|Tokyo Electron Limited|Method and apparatus for an improved deposition shield in a plasma processing system|
JP4141234B2|2002-11-13|2008-08-27|キヤノンアネルバ株式会社|Plasma processing equipment|
US7494560B2|2002-11-27|2009-02-24|International Business Machines Corporation|Non-plasma reaction apparatus and method|
CN1249789C|2002-11-28|2006-04-05|东京毅力科创株式会社|Plasma processing container internal parts|
US7296534B2|2003-04-30|2007-11-20|Tokyo Electron Limited|Hybrid ball-lock attachment apparatus|
US7075771B2|2003-05-21|2006-07-11|Tokyo Electron Limited|Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system|
JP2005039004A|2003-07-18|2005-02-10|Hitachi High-Technologies Corp|System and method for plasma etching|
US7144521B2|2003-08-22|2006-12-05|Lam Research Corporation|High aspect ratio etch using modulation of RF powers of various frequencies|
US20050050708A1|2003-09-04|2005-03-10|Taiwan Semiconductor Manufacturing Co., Ltd.|Embedded fastener apparatus and method for preventing particle contamination|
US20050061444A1|2003-09-24|2005-03-24|Yoshiaki Noda|Plasma cleaning device|
US7461614B2|2003-11-12|2008-12-09|Tokyo Electron Limited|Method and apparatus for improved baffle plate|
US7180227B2|2004-01-16|2007-02-20|Taiwan Semiconductor Manufacturing Co., Ltd.|Piezoelectric o-ring transducer|
US7845309B2|2004-07-13|2010-12-07|Nordson Corporation|Ultra high speed uniform plasma processing system|
US20060037702A1|2004-08-20|2006-02-23|Tokyo Electron Limited|Plasma processing apparatus|
US7632375B2|2004-12-30|2009-12-15|Lam Research Corporation|Electrically enhancing the confinement of plasma|
US7198677B2|2005-03-09|2007-04-03|Wafermasters, Inc.|Low temperature wafer backside cleaning|
US7430986B2|2005-03-18|2008-10-07|Lam Research Corporation|Plasma confinement ring assemblies having reduced polymer deposition characteristics|
JP2006303309A|2005-04-22|2006-11-02|Hitachi High-Technologies Corp|Plasma treatment apparatus|
US7837825B2|2005-06-13|2010-11-23|Lam Research Corporation|Confined plasma with adjustable electrode area ratio|
US7713379B2|2005-06-20|2010-05-11|Lam Research Corporation|Plasma confinement rings including RF absorbing material for reducing polymer deposition|
US20070007244A1|2005-07-05|2007-01-11|International Business Machines Corporation|Detection of loss of plasma confinement|
US20070021935A1|2005-07-12|2007-01-25|Larson Dean J|Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber|
CN100358099C|2005-08-05|2007-12-26|中微半导体设备有限公司|Plasma processing device|
US8366829B2|2005-08-05|2013-02-05|Advanced Micro-Fabrication Equipment, Inc. Asia|Multi-station decoupled reactive ion etch chamber|
US8608851B2|2005-10-14|2013-12-17|Advanced Micro-Fabrication Equipment, Inc. Asia|Plasma confinement apparatus, and method for confining a plasma|
CN100416757C|2005-12-07|2008-09-03|北京北方微电子基地设备工艺研究中心有限责任公司|Plasma etching device exhaustring|
US20070137576A1|2005-12-19|2007-06-21|Varian Semiconductor Equipment Associates, Inc.|Technique for providing an inductively coupled radio frequency plasma flood gun|
US7632377B2|2006-01-24|2009-12-15|United Microelectronics Corp.|Dry etching apparatus capable of monitoring motion of WAP ring thereof|
US8012306B2|2006-02-15|2011-09-06|Lam Research Corporation|Plasma processing reactor with multiple capacitive and inductive power sources|
US8911590B2|2006-02-27|2014-12-16|Lam Research Corporation|Integrated capacitive and inductive power sources for a plasma etching chamber|
US7578258B2|2006-03-03|2009-08-25|Lam Research Corporation|Methods and apparatus for selective pre-coating of a plasma processing chamber|
US8141514B2|2006-03-23|2012-03-27|Tokyo Electron Limited|Plasma processing apparatus, plasma processing method, and storage medium|
JP4885585B2|2006-03-23|2012-02-29|東京エレクトロン株式会社|Plasma processing apparatus, plasma processing method, and storage medium|
US7722778B2|2006-06-28|2010-05-25|Lam Research Corporation|Methods and apparatus for sensing unconfinement in a plasma processing chamber|
US7416677B2|2006-08-11|2008-08-26|Tokyo Electron Limited|Exhaust assembly for plasma processing system and method|
KR100809957B1|2006-09-20|2008-03-07|삼성전자주식회사|Semiconductor etching device|
US20080194113A1|2006-09-20|2008-08-14|Samsung Electronics Co., Ltd.|Methods and apparatus for semiconductor etching including an electro static chuck|
US20080110567A1|2006-11-15|2008-05-15|Miller Matthew L|Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution|
US7780866B2|2006-11-15|2010-08-24|Applied Materials, Inc.|Method of plasma confinement for enhancing magnetic control of plasma radial distribution|
JP4754465B2|2006-11-30|2011-08-24|パナソニック株式会社|Plasma processing apparatus and cleaning method thereof|
US20080193673A1|2006-12-05|2008-08-14|Applied Materials, Inc.|Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode|
US7758718B1|2006-12-29|2010-07-20|Lam Research Corporation|Reduced electric field arrangement for managing plasma confinement|
JP2008187062A|2007-01-31|2008-08-14|Hitachi High-Technologies Corp|Plasma processing equipment|
KR101480738B1|2007-04-27|2015-01-09|어플라이드 머티어리얼스, 인코포레이티드|Annular baffle|
US20090025879A1|2007-07-26|2009-01-29|Shahid Rauf|Plasma reactor with reduced electrical skew using a conductive baffle|
US7988815B2|2007-07-26|2011-08-02|Applied Materials, Inc.|Plasma reactor with reduced electrical skew using electrical bypass elements|
JP5209954B2|2007-12-21|2013-06-12|株式会社ユーテック|Deposition processing jig and plasma CVD apparatus|
US8522715B2|2008-01-08|2013-09-03|Lam Research Corporation|Methods and apparatus for a wide conductance kit|
TWI516175B|2008-02-08|2016-01-01|蘭姆研究公司|A method to stabilize pressure in a plasma processing chamber, and a program storage medium of same|
JP2009200184A|2008-02-20|2009-09-03|Tokyo Electron Ltd|Plasma processing apparatus, and baffle plate of plasma processing apparatus|
CN101541140B|2008-03-17|2012-08-22|北京北方微电子基地设备工艺研究中心有限责任公司|Plasma treatment equipment and shielding ring thereof|
JP5102706B2|2008-06-23|2012-12-19|東京エレクトロン株式会社|Baffle plate and substrate processing apparatus|
JP5164107B2|2008-07-01|2013-03-13|株式会社ユーテック|Plasma CVD apparatus, thin film manufacturing method, and magnetic recording medium manufacturing method|
US8540844B2|2008-12-19|2013-09-24|Lam Research Corporation|Plasma confinement structures in plasma processing systems|
JP5350043B2|2009-03-31|2013-11-27|東京エレクトロン株式会社|Plasma processing apparatus and plasma processing method|
JP2010245145A|2009-04-02|2010-10-28|Shibaura Mechatronics Corp|Plasma processing device|
US9337004B2|2009-04-06|2016-05-10|Lam Research Corporation|Grounded confinement ring having large surface area|
JP5397215B2|2009-12-25|2014-01-22|ソニー株式会社|Semiconductor manufacturing apparatus, semiconductor device manufacturing method, simulation apparatus, and simulation program|
US8249900B2|2010-02-10|2012-08-21|Morgan Stanley & Co. Llc|System and method for termination of pension plan through mutual annuitization|
JP5444044B2|2010-03-02|2014-03-19|東京エレクトロン株式会社|Plasma processing apparatus and shower head|
US20110226739A1|2010-03-19|2011-09-22|Varian Semiconductor Equipment Associates, Inc.|Process chamber liner with apertures for particle containment|
US8597462B2|2010-05-21|2013-12-03|Lam Research Corporation|Movable chamber liner plasma confinement screen combination for plasma processing apparatuses|
US8826855B2|2010-06-30|2014-09-09|Lam Research Corporation|C-shaped confinement ring for a plasma processing chamber|
JP5597463B2|2010-07-05|2014-10-01|東京エレクトロン株式会社|Substrate processing apparatus and substrate processing method|
US9728429B2|2010-07-27|2017-08-08|Lam Research Corporation|Parasitic plasma prevention in plasma processing chambers|
US9076826B2|2010-09-24|2015-07-07|Lam Research Corporation|Plasma confinement ring assembly for plasma processing chambers|
US8471476B2|2010-10-08|2013-06-25|Varian Semiconductor Equipment Associates, Inc.|Inductively coupled plasma flood gun using an immersed low inductance FR coil and multicusp magnetic arrangement|
KR102045942B1|2011-05-31|2019-11-18|어플라이드 머티어리얼스, 인코포레이티드|Apparatus and methods for dry etch with edge, side and back protection|
US8912077B2|2011-06-15|2014-12-16|Applied Materials, Inc.|Hybrid laser and plasma etch wafer dicing using substrate carrier|
JP5808012B2|2011-12-27|2015-11-10|東京エレクトロン株式会社|Plasma processing equipment|
US9224618B2|2012-01-17|2015-12-29|Lam Research Corporation|Method to increase mask selectivity in ultra-high aspect ratio etches|
CN103377979B|2012-04-30|2016-06-08|细美事有限公司|Adjustable plate and the device for the treatment of substrate with this adjustable plate|
US10103018B2|2012-07-31|2018-10-16|Semes Co., Ltd.|Apparatus for treating substrate|
US10256079B2|2013-02-08|2019-04-09|Applied Materials, Inc.|Semiconductor processing systems having multiple plasma configurations|
JP6220183B2|2013-08-07|2017-10-25|株式会社ディスコ|Plasma etching equipment|
US9293303B2|2013-08-30|2016-03-22|Taiwan Semiconductor Manufacturing Company, Ltd.|Low contamination chamber for surface activation|
US9337000B2|2013-10-01|2016-05-10|Lam Research Corporation|Control of impedance of RF return path|
US9401264B2|2013-10-01|2016-07-26|Lam Research Corporation|Control of impedance of RF delivery path|
US9101038B2|2013-12-20|2015-08-04|Lam Research Corporation|Electrostatic chuck including declamping electrode and method of declamping|
US10002782B2|2014-10-17|2018-06-19|Lam Research Corporation|ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough|
US9793097B2|2015-07-27|2017-10-17|Lam Research Corporation|Time varying segmented pressure control|
US9741593B2|2015-08-06|2017-08-22|Applied Materials, Inc.|Thermal management systems and methods for wafer processing systems|
CN106920731B|2015-12-28|2019-11-08|中微半导体设备股份有限公司|A kind of ground loop and reaction chamber limiting plasma leakage|
US10546729B2|2016-10-04|2020-01-28|Applied Materials, Inc.|Dual-channel showerhead with improved profile|
US10297458B2|2017-08-07|2019-05-21|Applied Materials, Inc.|Process window widening using coated parts in plasma etch processes|
KR20190021076A|2017-08-22|2019-03-05|삼성전자주식회사|Shroud unit and substrate treating apparatus including the same|
CN108048814B|2017-12-22|2020-01-31|凌嘉科技股份有限公司|Continuous coating system with reactive ion etching function|
US10964512B2|2018-02-15|2021-03-30|Applied Materials, Inc.|Semiconductor processing chamber multistage mixing apparatus and methods|
US10319600B1|2018-03-12|2019-06-11|Applied Materials, Inc.|Thermal silicon etch|
US11049755B2|2018-09-14|2021-06-29|Applied Materials, Inc.|Semiconductor substrate supports with embedded RF shield|
US11062887B2|2018-09-17|2021-07-13|Applied Materials, Inc.|High temperature RF heater pedestals|
US11121002B2|2018-10-24|2021-09-14|Applied Materials, Inc.|Systems and methods for etching metals and metal derivatives|
US10879052B2|2018-11-21|2020-12-29|Taiwan Semiconductor Manufacturing Co., Ltd.|Plasma processing apparatus and manufacturing method using the same|
CN111586957B|2019-02-19|2021-05-04|大连理工大学|Capacitive coupling plasma discharge device|
法律状态:
2002-12-26| STCF| Information on status: patent grant|Free format text: PATENTED CASE |
2006-07-14| FPAY| Fee payment|Year of fee payment: 4 |
2010-07-15| FPAY| Fee payment|Year of fee payment: 8 |
2010-07-15| SULP| Surcharge for late payment|Year of fee payment: 7 |
2014-07-14| FPAY| Fee payment|Year of fee payment: 12 |
优先权:
申请号 | 申请日 | 专利标题
US09/222,588|US6178919B1|1998-12-28|1998-12-28|Perforated plasma confinement ring in plasma reactors|
US09/728,733|US6506685B2|1998-12-28|2000-11-30|Perforated plasma confinement ring in plasma reactors|US09/728,733| US6506685B2|1998-12-28|2000-11-30|Perforated plasma confinement ring in plasma reactors|
[返回顶部]